Journal Articles

[J9]  . RapidStream 2.0: Automated Parallel Implementation of Latency Insensitive FPGA Designs Through Partial ReconfigurationACM Transactions on Reconfigurable Technology and Systems (TRETS), 2023. 

[J8]  . elfPlace: Electrostatics-based Placement for Large-Scale Heterogeneous FPGAsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2021. 

[J7]  . DREAMPlace: Deep Learning Toolkit-Enabled GPU Acceleration for Modern VLSI PlacementIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2020.  (Best Paper Award)

[J6]  . ABCDPlace: Accelerated Batch-based Concurrent Detailed Placement on Multi-threaded CPUs and GPUsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2020. 

[J5]  . A New Paradigm for FPGA Placement without Explicit PackingIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2018. 

PDF

[J4]  . A Practical Split Manufacturing Framework for Trojan Prevention via Simultaneous Wire Lifting and Cell InsertionIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2018. 

[J3]  . UTPlaceF 2.0: A High-Performance Clock-Aware FPGA Placement EngineACM Transactions on Design Automation of Electronic Systems (TODAES), 2018.  (1st-Place Award of ISPD 2017 Contest)

PDF

[J2]  . UTPlaceF: A Routability-Driven FPGA Placer with Physical and Congestion Aware PackingIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2017. 

PDF Software

[J1]  . An Optimization Procedure for Coil Design in a Dual Band Wireless Power and Data Transmission SystemECS Transactions (ECST), 2013. 

PDF

Conference Papers

More Publications

[C16]  . Calibration-Based Differentiable Timing Optimization in Non-linear Global PlacementACM International Symposium on Physical Design (ISPD), 2024. 

[C15]  . Systolic Array Placement on FPGAsIEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2023. 

[C14]  . The 2023 MLCAD FPGA Macro Placement Benchmark Design Suite and Contest ResultsACM/IEEE Workshop on Machine Learning for CAD (MLCAD), 2023. 

[C13]  . General-Purpose Gate-Level Simulation with Partition-Agnostic ParallelismACM/IEEE Design Automation Conference (DAC), 2023. 

[C12]  . Global Placement Exploiting Soft 2D RegularityACM International Symposium on Physical Design (ISPD), 2022. 

[C11]  . FLOPS: Efficient On-Chip Learning for Optical Neural Networks Through Stochastic Zeroth-Order OptimizationACM/IEEE Design Automation Conference (DAC), 2020. 

[C10]  . High-Definition Routing Congestion Prediction for Large-Scale FPGAsIEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), 2020. 

[C9]  . S3DET: Detecting System Symmetry Constraints for Analog Circuits with Graph SimilarityIEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), 2020. 

[C8]  . elfPlace: Electrostatics-based Placement for Large-Scale Heterogeneous FPGAsIEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2019.  (Best-in-Track Paper)

PDF Slides

[C7]  . DREAMPlace: Deep Learning Toolkit-Enabled GPU Acceleration for Modern VLSI PlacementACM/IEEE Design Automation Conference (DAC), 2019.  (Best Paper Award)

PDF Code Slides

Contact